--! @file helloworld.vhd -- @sa http://en.wikipedia.org/wiki/VHDL library std; use std.textio.all; entity helloworld is generic ( message: string -- Message to be printed out ); end entity helloworld; architecture testbench of helloworld is begin P_PRINTF: process variable l: line; begin write( l, message ); writeline( output, l); wait; -- Forever: end of simulation end process P_PRINTF; end architecture testbench; configuration helloworld_cfg of helloworld is for testbench end for; end configuration helloworld_cfg;